试题与答案

在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0to1

题型:单项选择题

题目:

在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0to127,下面哪个赋值语句是正确的。()。

A.idata:=32

B.idata<=16#A0#

C.idata<=16#7#E1

D.idata:=B#1010#

答案:

参考答案:C

试题推荐
微信公众账号搜索答案